<
From version < 3.3 >
edited by Xiaoling
on 2022/05/12 08:48
To version < 18.1
edited by Bei Jinggeng
on 2022/11/10 14:31
Change comment: There is no comment for this version

Summary

Details

Page properties
Title
... ... @@ -1,1 +1,1 @@
1 -How to set the transmit time interval
1 +How to set the transmit time interval -- LoRaWAN End node
Author
... ... @@ -1,1 +1,1 @@
1 -XWiki.Xiaoling
1 +XWiki.Bei
Content
... ... @@ -1,33 +1,42 @@
1 -
1 +**~ Table of Contents:**
2 2  
3 +{{toc/}}
4 +
5 +
6 +
7 +
3 3  = 1. Introduction =
4 4  
10 +
5 5  This chapter describes how to set Transmit Time Interval.
6 6  
13 +
7 7  = 2. Downlink Payload =
8 8  
16 +
9 9  TDC can be controlled by Downlink Payload.
10 10  
11 11  (((
12 -For example: AT+TDC=0x258=600s Payload: 01 00 02 58 TDC=600s The time interval is represented by hexadecimal conversion to decimal.
20 +**For example**: AT+TDC=0x258=600s ** ; Payload: **01 00 02 58 TDC=600s The time interval is represented by hexadecimal conversion to decimal.
13 13  )))
14 14  
15 -[[image:https://wiki.dragino.com/images/8/8e/TDC.png||alt="TDC.png" height="173" width="850"]]
23 +[[image:image-20220527085044-1.png]]
16 16  
17 -(((
18 -
19 -)))
20 20  
21 -(((
22 -If the payload=0100003C, it means set the END Node’s TDC to 0x00003C=60(S), 3C (HEX) = 60 (decimal),while type code is 01.
23 -)))
26 +If the payload=0100003C, it means set the END Node's TDC to 0x00003C=60(S), 3C (HEX) = 60 (decimal),while type code is 01.
24 24  
25 -(((
26 -Payload: 01 00 00 1E TDC=30s Payload: 01 00 00 3C TDC=60s
27 -)))
28 +**Payload: **01 00 00 1E TDC=30s Payload: 01 00 00 3C TDC=60s
28 28  
29 -[[image:image-20220512084801-1.png]]
30 30  
31 +Example Downlink payload setting in TTN:
32 +
33 +[[image:image-20221110143023-2.png||height="632" width="808"]]
34 +
35 +
36 +
31 31  = 3. Downlink Method =
32 32  
33 -See use note for different serves [[Servers Note>>http://8.211.40.43:8080/xwiki/bin/view/Main/]]
39 +
40 +See use  note for different serves [[Servers Note>>doc:Main.WebHome]](IoT LoRaWAN Server)
41 +
42 +
image-20220512115239-1.png
Author
... ... @@ -1,0 +1,1 @@
1 +XWiki.Xiaoling
Size
... ... @@ -1,0 +1,1 @@
1 +20.4 KB
Content
image-20220527085044-1.png
Author
... ... @@ -1,0 +1,1 @@
1 +XWiki.Xiaoling
Size
... ... @@ -1,0 +1,1 @@
1 +52.8 KB
Content
image-20220527085132-2.png
Author
... ... @@ -1,0 +1,1 @@
1 +XWiki.Xiaoling
Size
... ... @@ -1,0 +1,1 @@
1 +21.1 KB
Content
image-20220527085157-3.png
Author
... ... @@ -1,0 +1,1 @@
1 +XWiki.Xiaoling
Size
... ... @@ -1,0 +1,1 @@
1 +20.4 KB
Content
image-20221110142853-1.png
Author
... ... @@ -1,0 +1,1 @@
1 +XWiki.Bei
Size
... ... @@ -1,0 +1,1 @@
1 +50.6 KB
Content
image-20221110143023-2.png
Author
... ... @@ -1,0 +1,1 @@
1 +XWiki.Bei
Size
... ... @@ -1,0 +1,1 @@
1 +51.6 KB
Content
Copyright ©2010-2022 Dragino Technology Co., LTD. All rights reserved
Dragino Wiki v2.0